News und Artikel durchsuchen
{{#data.error.root_cause}}
{{/data.error}}
{{^data.error}}
{{#texts.summary}}
[{{{type}}}] {{{reason}}}
{{/data.error.root_cause}}{{texts.summary}} {{#options.result.rssIcon}} RSS {{/options.result.rssIcon}}
{{/texts.summary}} {{#data.hits.hits}}
{{#_source.featured}}
FEATURED
{{/_source.featured}}
{{#_source.showImage}}
{{#_source.image}}
{{/_source.image}}
{{/_source.showImage}}
{{/data.hits.hits}}
{{{_source.title}}} {{#_source.showPrice}} {{{_source.displayPrice}}} {{/_source.showPrice}}
{{#_source.showLink}} {{/_source.showLink}} {{#_source.showDate}}{{{_source.displayDate}}}
{{/_source.showDate}}{{{_source.description}}}
{{#_source.additionalInfo}}{{#_source.additionalFields}} {{#title}} {{{label}}}: {{{title}}} {{/title}} {{/_source.additionalFields}}
{{/_source.additionalInfo}}
Fertigung
-
Silicon Shield: TSMC darf nur auf Taiwan Chips in 2 nm fertigen
Es ist eine offenkundige Strategie seitens der Politik in Taiwan: TSMC ist inzwischen so wichtig für die Weltwirtschaft und den gesamten Chipmarkt, dass es die USA wohl kaum zulassen könnten, dass China sich den Auftragsfertiger einverleibt. Silicon Shield nennt sich diese Strategie. Nicht nur im Volumen an Chips, auch bei den fortschrittlichsten Fertigungstechnologien und dem Packaging ist das, was die Fabriken bei TSMC verlässt, das... [mehr] -
300 mm Durchmesser und 20 µm dick: Infineon stellt extrem dünne Wafer her
Infineon hat die Herstellung und erste Nutzung von ultradünnen Wafern angekündigt, die auf eine Dicke von gerade einmal 20 µm kommen. Die Wafer haben den in der Halbleiterfertigung inzwischen üblichen Durchmesser von 300 mm. Mit einer Dicke von nur 20 µm stellen sie in der Fertigung und Handhabung in der Belichtung sowie der weiteren Verarbeitung eine besondere Herausforderung dar. Gemeinsam mit ersten Kunden will man diese jedoch gelöst haben... [mehr] -
Galliumnitrid auf 300 mm: Infineon fertigt Leistungshalbleiter effizienter
Auch wenn sich in unserem Themenfeld vieles oder nahezu alles auf die Siliziumchips konzentriert, so kommen die meisten Menschen in ihrem alltäglichen Umfeld ohne Leistungshalbleiter nicht mehr weit – sei es im E-Auto, diversen Netzteilen, Solarwechselrichter und vielem mehr. Die Leistungshalbleiter werden in Siliziumkarbid (SiC) oder Galliumnitrid (GaN) gefertigt und entsprechend konzentrieren sich Firmen, die solche Bauteile... [mehr] -
Granite Ridge und Strix Point: AMD macht Angaben zur Chipgröße
Im Nachgang der Berichterstattung zum Zen-5-Tech-Day bzw. unserem ausführlichen Artikel dazu hatten wir noch einige Fragen an AMD, die nun beantwortet wurden. Uns interessierte hier vor allem das Thema der Fertigung. Sowohl für die Ryzen-AI-300- wie auch Ryzen-9000-Prozessoren (bzw. die der CCDs mit den Zen-5-Kernen) setzt AMD auf eine Fertigung in 4 nm bei TSMC (N4P und N4X). Doch welchen Einfluss hat der Wechsel auf die neuen... [mehr] -
Bericht und Analyse: Intel erläutert was hinter der Fertigung in Intel 3 steckt
Im vergangenen Jahr veröffentlichte Intel zur Eröffnung der Fab 34 im irischen Leixlip einige Details zur Fertigung in Intel 4, die dort in der Folge stattgefunden hat. Auf dem VLSI Symposium sprach Intel nun über Intel 3, den nächsten Schritt in der eigenen Fertigung. Intel 3 kommt vor allem für die Xeon-6-Prozessoren zum Einsatz – sprich die reinen E-Kern-Modelle alias Sierra Forest und die klassischen P-Kern-Modelle Granite Rapids. Für... [mehr] -
Intel 3-E und Intel 3-PT: Die weiteren Verbesserungen der 3-nm-Familie
Neben den Erläuterungen zu den Verbesserungen in Intel 3 – wie sie für Sierra Forest und Granite Rapids zum Einsatz kommen – sprach Intel auf dem VLSI Symposium 2024 über die Erweiterungen der Intel-3-Familie, wie man sie Anfang des Jahres vorstellte. Konkret sind die Intel 3-T, Intel 3-E und Intel 3-PT. In der Basis bietet Intel 3 eine um 18 % höhere ISO-Power – sprich bei gleicher Leistungsaufnahme kann die Leistung des Chips um 18 %... [mehr] -
Samsung Foundry: SF2Z kommt mit BSPDN, SF3 mit GAA startet dieses Jahr und SF4U für hohe Volumina
Auf dem Samsung Foundry Forum hat der südkoreanische Großkonzern und Chiphersteller einige Details zu seinen Plänen hinsichtlich der Fertigung in den kommenden Jahren verkündet. Unter anderem wurden zwei neue Prozess-Nodes SF2Z und SF4U angekündigt. Mit SF2Z wird Samsung auf ein Backside Power Delivery Network (BSPDN) setzen. Bei Intel wird man diesen Schritt mit Intel 20A gehen und TSMC hat seine Pläne für eine BSPDN-Technik auf die... [mehr] -
0,75 High-NA und Hyper-NA EUV: ASML zeigt neue Roadmap für EUV-Systeme
EUV-Belichtung mit High Numerical Aperture – oder kurz High-NA – wird in den kommenden Jahren die aus Sicht der Optik einer Wafer-Belichtung entscheidende Technologie sein. ASML hat inzwischen zwei Systeme als TWINSCAN NXE:5000, eines an Intel und eines für interne Forschungszwecke, fertiggestellt, bzw. ausgeliefert. Auch wenn 0,55 High-NA EUV erst ab 2025/26 eine wichtige Rolle spielen wird, die darauffolgenden Jahre werden alle neue... [mehr] -
Die-to-Wafer-Hybridbonding: Imec erreicht Bondpad-Abstand von nur zwei Mikrometern
Alle namhaften Halbleiterhersteller arbeiten auch an immer neuen Packaging-Kapazitäten, denn neben immer kleineren Dimensionen in der Chipfertigen rückt auch das komplexe Packaging zunehmend in den Fokus. Dies ist auch notwendig, da Multi-Chip-Designs immer schnellere Interconnects erfordern und schnellen HBM anbinden. TSMC, Samsung, IBM, Intel – alle arbeiten an neuen 2.5D- und 3D-Packaging-Technologien. Häufig greifen diese Unternehmen... [mehr] -
Panther Lake: Intel setzt fast ausschließlich auf eigene Fertigung
Heute hat Intel die ersten Prozessoren mit neuer Micro-Architektur für die CPU-Kerne, neuer GPU und neuer NPU vorgestellt, die eine neue Ära einläuten sollen. Fortgesetzt werden soll die Serie im kommenden Jahr von Panther Lake. Aber klar ist auch, für Lunar Lake ist Intel noch weit von TSMC abhängig, als dies ohnehin schon mit Meteor Lake der Fall ist. Aber es gibt einen Silberstreif am Horizont: Panther Lake. Laut Intel CEO Pat Gelsinger... [mehr] -
Zu guter Boden: Intels Fabrik-Neubau verzögert sich auf 2025
Es klingt auf den ersten Blick abstrus: Laut eines Berichts der Volksstimme, verzögert sich aufgrund einer zu guten Bodenbeschaffenheit der Baubeginn des Fabrik-Neubaus bei Magdeburg bis ins Jahr 2025. Nachdem die Fördergelder aus Deutschland gesichert waren, sollten dieses Jahr die ersten Erdaushubarbeiten durchgeführt werden. Daraufhin wurden die Baupläne dessen veröffentlicht, was ab 2025 auf dem Baugrundstück... [mehr] -
Intel Foundry: Fertigungssparte bekommt einen neuen Leiter
Führungswechsel in der Foundry-Sparte von Intel. Die ausgelagerte Fertigungsabteilung von Intel bekommt einen neuen Leiter. Nach 35 Jahren bei Intel, mit einer kleinen Pause von sechs Jahren bei HP, verlässt der aktuelle Leiter Stuart Pann Intel Foundry zum Ende des Monats Mai. Übernehmen wird Kevin O’Buckley. Seit 2019 war Kevin O’Buckley bei Marvell tätig und hat daher Erfahrungen bei einem sogenannten Fabless... [mehr] -
TSMC 2024 Technology Symposium: N2-Familie enthält NanoFlex für mehr Zellflexibilität
Neben der Vorstellung der ersten Umsetzung eines Back Side Power Delivery Network (BSPDN) in der A16-Fertigung verkündet TSMC laut Anandtech auf dem 2024 Technology Symposium deutliche Verbesserungen für die geplanten N2-Fertigungsschritte, die mittels NanoFlex-Technologie deutlich effizienter werden sollen. Alle bisher geplanten N2-Fertigungsschritte (N2, N2P, N2X) werden NanoFlex unterstützen. Dabei handelt es sich um eine Technologie,... [mehr] -
Planänderung: TSMC verschiebt BSPDN auf die A16-Fertigung
Auf seinem 2024 Technology Symposium hat TSMC ein Update zu seinen Fertigungsplänen gegeben. Anandtech berichtet über die Neuerungen von einem der ersten Stops des Symposiums in Santa Clara. Trotz aktueller Vormachtstellung in der Wafer-Belichtung sowie dem Packaging (vor allem im Hinblick auf das Auftragsvolumen) gibt man sich wie immer recht zurückhaltend was neue Fertigungsgrößen und Technologien betrifft. Erstmals von TSMC offiziell... [mehr] -
High-NA EUV: Intel zu Chancen und Risiken der neuen Technik
Im Dezember hat Intel den ersten High-NA-EUV-Scanner von ASML erhalten. Dieser steht nun in der Fab D1X im US-Bundesstaat Oregon und wird in den kommenden Monaten vom niederländischen Unternehmen so eingerichtet, dass Intel damit Anfang 2025 damit beginnen kann, die eigenen Prozesse darauf abzubilden. Im Verlaufe des kommenden Jahres will man dann die wichtigsten Prüfpunkte erreichen, die eine Massenproduktion am 2026 zulassen. In Oregon... [mehr] -
ASML: EUV nimmt gerade erst Fahrt auf und zweites High-NA-System geht an Kunden
ASML hat die Zahlen für das erste Quartal 2024 verkündet und liegt in etwa der Mitte der eigenen Prognose. Der Umsatz geht von 6,75 Milliarden Euro auf 5,3 Milliarden Euro zurück, der Gewinn schrumpft von 1,96 auf 1,22 Milliarden Euro. Für das kommende Quartal erwartete ASML ein kleines Plus, was sich so auch für das Gesamtjahr 2024 fortsetzen soll. Ab 2025 und danach soll es dann in eine stärkere Wachstumsphase übergehen, denn die... [mehr] -
44 Milliarden US-Dollar: Samsung soll Investitionen in Texas mehr als Verdoppeln wollen
Aktuell ist Samsung bereits kräftig im US-Bundesstaat Texas tätig und baute sein dortiges Engagement mit einer weiteren Fab aus, die 2024 fertiggestellt werden soll und 17 Milliarden US-Dollar kosten wird. Noch nicht offiziell bestätigt wurde, dass Samsung sechs Milliarden US-Dollar aus dem US Chips Act für diesen Fab-Neubau erhalten soll. Wie das Wall Street Journal nun berichtet, will Samsung in Texas weiter investieren und plant nun mit... [mehr] -
Advanced Packaging für HBM: Sk hynix investiert fast vier Milliarden US-Dollar im US-Bundesstaat Indiana
Intel, Samsung, TSMC und nun auch Sk hynix. Der Südkoreanische Speicherhersteller will in West Lafayette im US-Bundesstaat Indiana fast vier Milliarden US-Dollar in eine Fabrik für Advanced Packaging sowie eine Forschungs- und Entwicklungsabteilung investieren. Die genaue Summe der Investition beläuft sich auf 3,87 Milliarden US-Dollar. Hauptsächlich soll hier das Packaging von High Bandwidth Memory oder kurz HBM stattfinden. Der... [mehr] -
Interne Selbsteinschätzung: Wie Intel sich in der Prozesstechnologie aufgestellt sieht
Mitte Februar gab Intel seine Foundry-Pläne und die Ausgliederung dieses Geschäftsbereichs bekannt. Darüber hinaus will man die bestehende Roadmap für zukünftige Fertigungsgrößen um ein paar Erweiterungen ergänzen, um als Foundry für seine Kunden besser aufgestellt zu sein. Bis hin zu Intel 10A, eine Fertigung die ab 2028 in Betracht gezogen wird, hat Intel seine Pläne vorgelegt. Gestern nun hat Intel offengelegt, wie die Gliederung... [mehr] -
Fab in Arizona: TSMC soll noch 2024 mit der Massenproduktion starten
Derzeit baut TSMC im US-Bundesstaat Arizona eine Chipfertigung, die mit einem Umfang von 40 Milliarden US-Dollar mit zu den größten Anlagen gehört. Unter anderem zeigte Apple bereits großes Interesse daran, seine Chips von dort zu beziehen. Ursprünglich war geplant, dass die Massenproduktion der ersten Chips im ersten Halbjahr 2025 starten sollte. Doch in den letzten Monaten gab es vermehrt Meldungen zu Verzögerungen, die unter anderem mit... [mehr] -
Mehrfachstrukturierung: Huawei und SMIC sollen an 5-nm-Prozess arbeiten
Laut eines Berichts von Bloomberg arbeiten Huawei und SMIC (Semiconductor Manufacturing International Corporation), Chinas führender Halbleiterhersteller, an einer Fertigung in 5 nm mit Mehrfachstrukturierung (Multiple Patterning), der es China weiterhin ermöglichen soll, auf moderne Fertigungstechnik aus dem Westen zu verzichten und dennoch moderne Chips fertigen zu können. Das eingereichte Patent beschreibt... [mehr] -
Keine Auswirkungen auf Baubeginn der Intel-Fab: Archäologen entdecken Begräbnislandschaft
Bereits vor mehr als einer Woche vermeldeten das Landesamt für Denkmalpflege und Archäologie Sachsen-Anhalt sowie das Landesmuseum für Vorgeschichte einen spektakulären Fund. Auf dem Gelände der geplanten Fab 27 von Intel bei Magdeburg entdeckten Archäologen eine jungsteinzeitliche Begräbnislandschaft. Entdeckt wurden zwei etwa 6.000 Jahre alte monumentale und ehemals überhügelte Totenhütten, die jeweils mehrere Bestattungen enthielten. In... [mehr] -
Mehr Leistung pro Watt: Intel 14A bringt 15 %, Intel 14A-E weitere 5 %
Zur IFS Direct Connect sprach Intel über seine Foundry-Strategie und die Fertigungsschritte der Zukunft. Unter anderem enthüllt wurden Intel 14A, Intel 10A und die Optimierungsschritte E (Enhanced), P (Performance) und T (TSV-optimiert). In den kommenden Jahren vor allem für die Intel-eigenen Produkte eine Rolle spielen werden die Schritte zu Intel 3, Intel 20 und Intel 18A. Die große Frage dabei ist, welche Verbesserungen Intel hier für die... [mehr] -
Prozess-Umbenennung: Samsung soll 3-nm- in 2-nm-Prozess umbenennen
Seit ca. zehn Jahren driftet die Benennung der Prozess-Technologien mit den tatsächlichen Größen immer weiter auseinander. Das, was TSMC als N3 oder Intel als Intel 7 bezeichnen, hat keinerlei direkte Verbindung mit den Dimensionen der gefertigten Transistoren. Um hier zumindest in der Namensgebung wieder auf Niveau der Konkurrenz zu sein, entschied sich Intel Mitte 2021 zu einer Umbenennung. Nun plant offenbar auch Samsung eine Umbenennung,... [mehr] -
Gute Auftragslage: NVIDIA nun zweitgrößter Kunde von TSMC
NVIDIA ist im vergangenen Jahr zum zweitgrößten Kunden von TSMC aufgestiegen – dies geht aus einer Analyse von Dan Nystedt hervor. Die hohe Nachfrage nach Fertigungs- und Packaging-Kapazitäten verdankt NVIDIA dem noch immer anhaltendem KI-Boom und TSMC wird die Aufträge sicherlich dankend entgegennehmen. Für gewöhnlich zeigt sich der Taiwanesische Auftragsfertiger recht zugeknöpft, wenn es am die eigenen Kundschaft geht. Im Rahmen der... [mehr] -
Intels Foundry-Geschäft: ARM, Microsoft und Co sind Partner und Kunde zugleich
Nicht nur eine neue Roadmap für das Foundry-Geschäft hat Intel auf der IFS Direct Connect verkündet, sondern auch eine Umstrukturierung innerhalb des eigenen Unternehmens, die dem Rechnung tragen sollen. Aus Intel Foundry Services (IFS) wird nun nur noch Intel Foundry. Aber die Abteilungen für die Entwicklung der neuen Fertigungs- und Packaging-Technologien werden nun Bestandteil der Intel Foundry sein. Das zweite Standbein von Intel bleiben... [mehr] -
Intel IFS Direct Connect: Intel enthüllt Intel 14A und Pläne für weiter optimierte Fertigungsprozesse
Über die letzten Jahre hinweg wiederholte Intel immer wieder das Mantra der "Five Nodes in four Years" (5N4Y). Bis zu Intel 18A als bisher fortschrittlichste Fertigung über die Intel offiziell gesprochen hat, will Intel zurück zu einer verlässlichen Ausführung seiner Fertigung. Die zahlreichen Verzögerungen für die Fertigung in 10 nm schmerzen noch immer und haben zu zahlreichen Verzögerungen geführt. Intel 7 war bzw. ist als 10-nm-Prozess... [mehr] -
Foundry-News: Intels Ohio-Fab noch immer Ende 2025 fertig, TSMC weitet JV in Japan aus
In den vergangenen Tagen gab es einige Meldungen rund um die geplanten Fabriken der großen Halbleiterhersteller. Im Rahmen der Bekanntgabe der Quartalszahlen äußerte sich Intel zur aktuellen Entwicklung der Mega-Fab in Ohio, die analog zur Fab in Magdeburg große Fertigungskapazitäten zur Verfügung stellen soll und auf die mordernsten Fertigungsverfahren geht. Für Ende 2025 ist die Fertigstellung der Ohio-Fab geplant und dabei soll es auch... [mehr] -
Vereinbarung im Halbleitermarkt: Intel und UMC wollen zusammenarbeiten
Intel und United Microelectronics Corporation oder kurz UMC aus Taiwan haben eine Vereinbarung getroffen, nach der unter anderem ein neuer 12-nm-Prozess entwickelt werden soll, der auf den wachsenden Markt im Bereich der Mobile-Chip, Kommunikationsinfrastruktur und Networking abzielt. Intel wird die Fertigungskapazitäten in den USA bereitstellen, während UMC seine Erfahrungen im Foundry-Geschäft und der Fertigung in diesen als... [mehr] -
Mega-Fab in Magdeburg: Intel will in Deutschland modernste Fertigung starten
Auf dem Weltwirtschaftsforum in Davos sprach Intels CEO Pat Gelsinger über die Zukunftspläne Intels. In Europa will Intel in den kommenden Jahren in mehreren Ländern Milliarden US-Dollar investieren – natürlich immer mit Unterstützung der Politik in Form von Subventionen. Flaggschiff soll ein Fab-Megaprojekt in Magdeburg werden, wo 30 Milliarden Euro ausgegeben werden sollen. Noch völlig unklar ist, welchen Fertigungsprozess Intel in Magdeburg... [mehr] -
465 Milliarden US-Dollar Investition: Südkorea plant riesiges Chip-Cluster
Immer wieder berichten wir über Investitionen in Milliardenhöhe, die aktuell im Halbleiterbereich weltweit getätigt werden. US Chips Act, EU Chips Act – Länder, Regionen und anderen Zusammenschlüsse legen ihre Geldtöpfe zusammen. In Südkorea plant man in anderen Dimensionen. Bis 2047 soll hier ein riesiges Halbleiter-Megacluster entstehen, für das 622 Billionen Won, also etwa 465 Milliarden US-Dollar investiert werden sollen. Dies... [mehr] -
Doch nicht aus China: Huawei lässt Soc in 5 nm bei TSMC fertigen
Es ist derzeit ein strittiges Thema und trotz vieler Handelsbeschränkungen gelingt es China aktuell immer mehr Chips selbst zu fertigen und erreicht dabei auch Strukturgrößen, die man ihnen zum aktuellen Zeitpunkt noch nicht zugetraut hätte. So lässt Huawei den Kirin 9000S im Mate 60 Pro durch SMIC (Semiconductor Manufacturing International Corporation) in China fertigen. Verwendet wird ein 7-nm-Prozess. Die verwendeten DUV-Systeme (Deep... [mehr] -
IFS Direct Connect: Intel wird die weiteren Fertigungspläne offenlegen
Am 21. Februar wird Intel in San Jose die IFS Direct Connect veranstalten. Dabei wird es sich um eine Konferenz handeln, in der Intel einerseits seine Strategie für die Intel Foundry Services (IFS) weiter ausführen und womöglich weitere Kunden und Partnerschaften verkünden wird. Neben Intel werden Unternehmen wie Synopsys, Cadence, Siemens, Ansys vor Ort sein. Einen der Vorträge wird Dr. Ann Kelleher halten, die Leiterin der... [mehr] -
IEDM 2023: TSMC zeigt erstmals was nach N2 geplant ist
Bereits mit dem ersten Tag des diesjährigen IEEE International Electron Devices Meeting (IEDM 2023) haben wir über die Bemühungen von Intel und TSMC hinsichtlich der Materialforschung gesprochen, um Strukturbreiten von 2 nm und weniger in der Fertigung zu erreichen. Fokus der diesjährigen Konferenz ist aber sicherlich das Thema Backside Power Delivery Networks (BSPDN) – auch dazu hatten wir einen ausführlichen Bericht. In einem... [mehr] -
3-nm-Prozess: Samsung soll bessere Ausbeute als TSMC erreichen
Wohl unangefochten bietet TSMC aktuell das beste Angebot an modernster Fertigung und dazugehörigem Packaging. Nicht ohne Grund bestellen namhafte und bisher unbekannte Hersteller zumeist ihre Chips genau hier. HPC- und AI-Beschleuniger, aber auch die aktuellen GPUs kommen zu 90 % aus den Werken bei TSMC. Doch mit den immer kleineren Strukturgrößen der Transistoren kann auch ein Branchenprimus so seine Probleme bekommen und genau das soll bei... [mehr] -
IEDM 2023: Intel, TSMC und andere arbeiten an Transistoren in 2 nm und weniger
Die Forschung an neuen Technologien für die rückseitige Spannungsversorgung der Transistoren ist auch eine Notwendigkeit aus der Weiterentwicklung der Transistor-Technologien. Genau wie PowerVia als Technik sollen uns bei Intel im kommenden Jahr die ersten RibbonFET erwarten. Neben Intel präsentiert aber auch TSMC aus dem 2023 IEEE International Electron Devices Meeting (IEDM 2023) seine zukünftigen Ansätze im Bereich der... [mehr] -
AI-Chiplets der nächsten Generation: Tenstorrent wählt Samsungs SF4X-Prozess
Lange konnte Samsung keine größeren Erfolge oder Neukunden für das eigene Foundry-Geschäft mehr verkünden. Verzögerungen und eine schlechte Ausbeute haben die potentielle Kundschaft wohl abgeschreckt und davon hat nicht zuletzt auch TSMC profitiert, wo quasi alle modernen Chips und Packages gefertigt werden – zumindest alles was nicht direkt von Intel stammt und dort auch gefertigt wird. Nun verkündet Tenstorrent, dass man Samsungs... [mehr] -
Für Meteor Lake: Intel eröffnet Fab 34 im irischen Leixlip
Nach Monaten des Baus und der Bestückung mit entsprechenden Maschinen wird die Fab 34 im irischen Leixlip heute offiziell eröffnet. In der Fab 34 gefertigt werden die ersten Chips in Intel 4, der aktuell modernsten Fertigung von Intel, die unter anderem den Compute-Tile der Meteor-Lake-Prozessoren bereitstellen sollen. Das High-Volume Manufacturing (HVM) dieser Chiplets ist auch der eigentliche Punkt in der Eröffnung, denn für Intel soll... [mehr] -
EUV-Masken-Hersteller: Intel verkauft Teile seines Tafelsilbers
Nachdem es bei Intel in den vergangenen Tagen einzig und alleine um Milliarden-Investitionen und damit das Ausgaben von Geld ging, folgte heute eine Meldung, die wieder etwas Geld in die Kassen spülen soll. Intel verkauft 20 % seiner Anteile am österreichischen Masken-Hersteller IMS Nanofabrication GmbH. Käufer ist Bain Capital, ein US-Finanzinvestor, der in den verschiedenen Bereichen investiert und sich dabei möglichst breit aufstellt... [mehr]. -
Samsung 3GAE: Die ersten MBCFET stecken in Mining-ASICs
In den vergangenen Tagen machte Samsung in Hinblick auf seine modernsten Fertigungsverfahren auf sich aufmerksam. In den vergangenen Jahren war die Berichterstattung in dieser Hinsicht eher von Negativschlagzeilen geprägt: Verzögerungen, schlechte Ausbeute, das Wegfallen vieler Großkunden. Nun scheint es jedoch in die andere Richtung zu gehen. Zunächst einmal vermeldeten verschiedene Branchen-Magazine (Kmib.co.kr und DigiTimes),... [mehr] -
Samsung Foundry Update: 2 nm ab 2025 und 1,4 nm ab 2027
Im Rahmen des Samsung Foundry Forum (SFF) 2023 hat der südkoreanische Hersteller ein Update seines Fahrplans für die zukünftigen Fertigungsprozesse gegeben. Offenbar will man seinem taiwanischen Konkurrenten TSMC wieder größere Marktanteile bei den AI-Chips abknöpfen. NVIDIA lässt seine A100- und H100-Beschleuniger bei TSMC fertigen und auch der Gaudi 2 der HabanaLabs sowie fast sämtliche ARM-basierten Prozessoren werden aktuell bei TSMC... [mehr] -
Sicherung der Lieferkette: Fotolackhersteller in Japan wird verstaatlicht
Nicht erst mit Beginn des Angriffskrieges Russlands gegen die Ukraine oder der Corona-Pandemie ist sich vielen Unternehmen und Privatleuten bewusst geworden, wie fragil unsere Lieferketten sind. Dem entgegenzuwirken, haben sich viele Staaten und Unternehmen auf die Fahnen geschrieben, doch während die Unternehmen hier meist deutlich flexibler in ihrer Umsetzung dessen sind, dauert es bei Staatlichen Unternehmungen meist etwas länger. In Japan... [mehr] -
IDM 2.0: Intel wird selbst zum eigenen Kunden
Über Jahre, gar Jahrzehnte war Intel ein führender Halbleiterhersteller und in vielen Bereichen ist man dies auch nachwievor. Aber die Spitzenposition im Rennen um immer kleinere und effizientere Chip-Designs musste man in den vergangenen Jahren an den Konkurrenten TSMC abgeben. Dabei hat das selbstgewählte IDM-Konstrukt (Integrated Device Manufacturer) einige Vorteile zu bieten. Theoretisch kann Intel die Fertigung deutlich enger mit den... [mehr] -
Lam Research: Wafer-Schutzschicht für bessere Ausbeute
Als führender Anbieter von verfahrenstechnischen Anlagen in der Halbleiterindustrie hat Lam Research ein neues Verfahren vorgestellt, welches für eine höhere Ausbeute im Prozess der Wafer-Verarbeitung sorgen soll. Da es in der Fertigung moderner Chips zu einem immer größeren Anteil an Verarbeitungsschritten und teilweise Wafer-on-Wafer-Technologien kommt, wird ein Schutz der Wafer zunehmend wichtig. Die Kante eines Wafers ist eine... [mehr] -
Montage- und Testeinrichtung: Intel investiert 4,6 Milliarden in Polen
Bereits mit der Ankündigung einer neuen Fabrik bei Magdeburg nannte Intel weitere Milliarden-Investitionen in einigen europäischen Ländern. Unter anderem soll eine Entwicklungsabteilungen in Polen um 50 % vergrößert werden. Hier sind bereits etwa 4.000 Mitarbeiter aktiv. Nun kündigt das Unternehmen eine weitaus größere Investition an. Bei Wrocław in Polen soll eine Einrichtung für das Packaging und Testen entstehen. Insgesamt sollen... [mehr] -
Advanced Backend Fab 6: TSMC kann mehr als 1 Million Wafer pro Jahr verarbeiten
Gestern hat TSMC die offizielle Eröffnung seiner Advanced Backend Fab 6 verkündet. Damit trifft TSMC ziemlich gut den aktuellen Bedarf nach Packaging-Kapazitäten, den vor allem NVIDIA aktuell vorantreibt. Mit den drei Ausbaustufen AP6A, AP6B und AP6C entsteht mehr als die doppelte Kapazität dessen, was aktuell in der Advanced Backend Fab 1 möglich war. Auf 143.000 m² beläuft sich die Fläche der AP6-Anlage im Zhunan Science Park,... [mehr] -
Intel 18A- und 20A-Prozess: Entwicklung soll abgeschlossen sein
Für die nächsten beiden Fertigungsschritte Intel 4 und Intel 3 wird Intel noch klassische FinFETs und eine Heranführung der Signal- und Power-Layer von einer Seite verwenden. Intel 20A und Intel 18A sind die nächsten beiden großen Schritte, die nun laut Intels China-Präsident Wang Rui zumindest in der Entwicklung abgeschlossen sein sollen. Dies berichtet United Daily News in China. Dies bedeutet noch nicht, dass Intel bereit dafür ist,... [mehr] -
Intel will mehr Geld: Kommt die Deutsche Fab noch? (Update)
In den vergangenen Wochen häuften sich die Berichte zu Verzögerungen des Baustarts der Mega-Fab von Intel bei Magdeburg. Von der Euphorie aus dem Frühjahr 2022 ist nur noch wenig übrig. Der Bund will das Projekt mit 6,8 Milliarden US-Dollar fördern, doch die wirtschaftliche Lage trübte sich seither ein und dies ging auch an den Plänen von Intel nicht vorüber. Ende 2022 und Anfang 2023 mehrten sich die Berichte zur Unklarheiten der Höhe der... [mehr] -
40 Milliarden US-Dollar: TSMC baut zweite Fabrik in den USA
TSMC hat heute verkündet, dass man neben einer Fabrik im US-Bundesstaat Arizona auch noch eine zweite bauen wird. Die erste soll ab 2024 Chips im N4-Prozess herstellen, die zweite dann ab 2026 solche im N3-Prozess. Beide Fabriken zusammengenommen werden 40 Milliarden US-Dollar kosten und zu einem Teil durch den US-Chips-Act finanziert. 4.500 Arbeitsplätze werden in den beiden Fabriken direkt entstehen, insgesamt etwa 10.000, da sich natürlich... [mehr] -
Intel auf dem IEDM 2022: Ausblick auf zukünftige Packaging- und Fertigunstechniken
Auf dem IEEE IEDM (International Electron Devices Meeting) wird Intel gleich mehrere Forschungspapiere vorstellen. Einige Details dazu hat man nun bereits im Vorfeld veröffentlicht, denn das eigentliche Meeting findet erst in der kommenden Woche statt. Intel befindet sich aktuell in einer großen Umstrukturierungsphase hinsichtlich seiner Fertigungstechnologien. Aktuelle Produkte werden in Intel 7 gefertigt, was eine 10-nm-Fertigung in etlichen... [mehr]